Onto Innovation Inc.
NYSE:ONTO
$ 223.50
$-0.47 (-0.21%)
$ 223.50
$-0.47 (-0.21%)
End-of-day quote: 05/17/2024

Onto Innovation Stock

About Onto Innovation

Onto Innovation Inc. (Onto Innovation) is a worldwide leader in the design, development, manufacture and support of metrology and inspection tools for the semiconductor industry, including process control tools that perform optical metrology on patterned and unpatterned wafers, wafer macro-defect inspection, including macro-inspection of both 2D and 3D wafer features, wafer substrate and panel substrate lithography systems, and process control analytical software. Onto Innovation share price history

The company’s products are primarily used by silicon wafer manufacturers, semiconductor integrated circuit (‘IC’) fabricators, and advanced packaging manufacturers operating in the semiconductor market. The company’s products are also used for process control in a number of other specialty device manufacturing markets, including light emitting diodes (‘LED’), vertical-cavity surface-emitting lasers (‘VCSEL’), micro-electromechanical systems (‘MEMS’), CMOS image sensors (‘CIS’), silicon and compound semiconductor (SiC and GaN) power devices, analog devices, RF filters, data storage, and certain industrial and scientific applications.

The company provides process and yield management solutions used in bare silicon wafer production and wafer processing facilities, often referred to as ‘front-end’ manufacturing, and advanced packaging of chips and test facilities, or ‘back-end’ manufacturing, through a portfolio of standalone systems for optical metrology, macro-defect inspection, packaging lithography, as well as transparent and opaque thin film measurements. The company’s automated and integrated metrology systems measure critical dimensions, device structures, topography, shape, and various thin film compositions, including three-dimensional features and film thickness, as well as optical and material properties. The company’s primary areas of focus include products that provide critical yield-enhancing and actionable information, which is used by microelectronic device manufacturers to improve yield and time to market of their next-generation devices. The company’s systems feature sophisticated software and production-worthy automation. In addition, the company’s advanced process control software portfolio includes powerful solutions for standalone tools, groups of tools, and factory-wide and enterprise-wide suites to enhance productivity and achieve significant cost savings. The company’s systems are backed by worldwide customer service and applications support.

The company participates in the sale, design, manufacture, marketing and support of process control systems for optical critical dimension (‘OCD’) metrology, thin film metrology, silicon wafer inspection, 2D and 3D macro inspection and lithography tools for advanced packaging and advanced analytical software for semiconductor manufacturing as well as inspection systems for certain industrial applications and scientific research. The company’s principal market is semiconductor capital equipment. Semiconductors packaged as ICs, or ‘chips’, are used in consumer electronics, server and enterprise systems, mobile computing (including smart phones and tablets), data storage devices, and embedded automotive and control systems. The company’s core focus is the measurement and control of the structure, composition, and geometry of semiconductor devices as they are fabricated on silicon wafers to improve device performance and manufacturing yields. The company’s end customers manufacture many types of ICs for a multitude of applications, each having unique manufacturing challenges. This includes ICs to enable information processing and management (logic ICs), memory storage (NAND, 3D-NAND, and DRAM), analog devices (e.g., Wi-Fi and 5G radio ICs, and power devices), MEMS sensor devices (accelerometers, pressure sensors, and microphones), CMOS image sensors, and other specialty end markets, including components for hard disk drives, LEDs, and power management devices.

Markets

Advanced Nodes. ‘Advanced Nodes’ refers to leading-edge ICs where the sizes of transistors and other features continue to shrink. Advanced nodes are associated with transistor dimensions less than 16 nanometers (nm). The company’s metrology systems used to measure and characterize these small features are generally purchased when a customer is beginning to manufacture at a new, smaller node, in order to set up and test new manufacturing equipment being installed for the new node. The company’s process control/metrology equipment is generally installed prior to the installation of the actual process equipment for that reason. Additional process control equipment is normally purchased when the initial process yields have been stabilized and more manufacturing capacity is required to meet production demands. Therefore, the company’s sales to customers for advanced nodes is generally higher when manufacturing lines for new nodes are being established and may not represent continuous sales revenue until the company’s initial systems reach high levels of utilization driven by the need for greater capacity. Onto Innovation share price history

Demand for the company’s products continues to be driven by the company’s customers’ desire for higher overall chip performance enabled by a greater number of transistors per square millimeter, while improving power efficiency, logic processing capability, data storage volume and manufacturing yield. To achieve these goals, the company’s customers have increased their use of more complex materials and processing methods in their manufacturing flow. The primary path for performance gains is geometric scaling, known as node shrinks, or scaling of transistor dimensions. In some cases, the company’s customers are implementing new materials and methods in high volume manufacturing, including materials and device architectures to reduce power consumption. To scale NAND memory, for example, a 3D layered architecture has been implemented for several customers with more than 150 storage cell layers for devices in production. Additional innovation continues in Data Storage, Power Devices, MEMS, and Image Sensors. The use of these new materials and manufacturing methods has increased demand for the company’s products, such as the Atlas product line, which is capable of measuring advanced nodes as certain features shrink beyond 7nm, to 5nm, 3nm and in the most advanced of cases, 2 nm or less.

The company’s NovusEdge inspection tool has been installed at major silicon wafer manufacturers to detect backside contamination and edge cracking as a final quality control mechanism before wafers are shipped to the semiconductor fabrication processes. The top side of wafers used for the EUV process is covered with an epitaxial layer, which must also be scanned for any impurities. This compositional analysis may be measured using the company’s Element system using Fourier Transform Infrared (‘FTIR’) algorithms.

Advanced Packaging. ‘Advanced Packaging’ refers to a variety of technologies that enable the miniaturization of electronic products, such as smartphones, watches, and tablets.

One example of the technology used in Advanced Packaging is the 3D integration of semiconductors. This technology involves stacking individual chips in one integrated package. Through-silicon vias (‘TSVs’) are vertical copper interconnects that are embedded from the bottom surface of a die to the top surface and use small copper/solder ‘bumps’ to connect one chip to another. TSVs allow power and communication to be shared among the individually stacked components.

Heterogeneous integrated (‘HI’) packaging is another advanced packaging technology using copper pillars/bumps to vertically connect a wide variety of stacked die for 2.5D, and 3D integration techniques, as well as horizontally connected chips and is considered the next disruptive technology for several reasons. First, HI packages using 3D stacking can significantly reduce the space needed inside an electronic device, such as a smartphone, by combining multiple chips/functions into a ‘system in a package’ (‘SIP’). Next, HI packages also improve a system’s performance by reducing power and signal conductor lengths, which previously were routed from package to package through a PC board using thin redistribution layers (‘RDLs’) to connect chips that are side-by-side. Lastly, the technology is considered the preferred vehicle for next generation uses, such as SIP, and package on package formats. As a result of the small overall form factor, HI packages provide the functionality needed in high-end mobile and wearable products.

The company’s inspection systems and software are used for process control and detection of potential reliability failures in nearly all of these packages. Inspection rates for advanced packages are high throughout the assembly process to avoid a single defective chip from being assembled into a relatively expensive package. Thus, unlike the cyclical nature of the company’s metrology equipment associated with node shrinks, the company’s sales revenue for advanced packaging is generally driven by assembly volumes.

Panel Substrate Manufacturing. One current process to manufacture advanced packaging involves attaching known good die to a 300mm wafer. SIP packages can often contain side-by-side die, meaning the package can be large and limit the number of packages being placed on a wafer. In order to meet the growing demand at reduced average selling prices, manufacturers are looking to scalable technology. Advanced packaging facilities looking to improve Cost of Ownership and increase productivity are transitioning from 300mm wafers to large rectangular panels, which can be as large as 650mm x 650mm. This larger size enables companies manufacturing large area packages to increase the number of devices being processed at each step as they are no longer limited to operating within the constraints of a round wafer. By responding to market opportunities and addressing the stringent demands of customers’ technical roadmaps, Onto Innovation is optimally positioned to capitalize on the emerging market of high-volume manufacturing of advanced IC substrates. For example, the JetStep X500 lithography system, having emerged from the flat panel display market, is readily capable of processing RDLs on very thin advanced organic laminate panels in the semiconductor advanced packaging market. The Firefly series of panel level macro inspection tools, designed for high resolution inspection, can provide defect detection and location information to the JetStep X500 tool for each die, which greatly improves lithography throughput using the company’s exclusive StepFAST process. It also delivers a combination of defect classification and process throughput in a single software platform. It reduces capital investment requirements and provides a reliable pathway to transition from wafer to panel-based processes.

Onto Innovation’s Products

Automated Metrology Systems

The Atlas family of products represents the company’s line of high-performance automated metrology systems providing OCD and thin film metrology and wafer stress metrology for transistor and interconnect metrology applications. The thin film and OCD technology is supported by the company’s suite of solutions, including the company’s latest introductions of AI Diffract software, SpectraProbe software and NanoGen scalable computing engine, which enables visualization, modeling, and analysis of complex structures.

AI Diffract is a modeling, visualization and analysis software that takes signals from the metrology systems, providing critical dimension, thickness, and optical properties from in-line measurements. The software has an intuitive three-dimensional modeling interface to provide visualization of today’s advanced and complex semiconductor devices. There are proprietary fitting algorithms in AI Diffract that enable very accurate and very fast calculations for signal processing for high fidelity model-based measurements. SpectraProbe is a model-less fitting engine that enables fast time to solution for in-line excursion detection and control. SpectraProbe complements the high-fidelity modeling of AI Diffract with a simple machine learning interface for rapid recipe deployment. The software is supported by NanoGen, an enterprise scale computing hardware system that is deployed to run the computing intensive analysis software. NanoGen leverages commercial server chips and networking architecture and is optimized to support the workload of AI Diffract and SpectraProbe analysis.

Integrated Metrology Systems

The company’s integrated metrology (‘IM’) systems are installed directly onto wafer processing equipment to provide near real-time measurements for improved process control and maximum throughput. The company’s IM systems are sold directly to end user customers. The IMPULSE family of products includes the latest technology for OCD, and thin film metrology, and has been successfully qualified on multiple independent wafer fabrication equipment suppliers’ platforms.

Silicon Wafer All-surface Inspection/Characterization

‘All-surface’ refers to inspection of the wafer frontside, edge, and backside, as well as wafer’s locator notch. The edge inspection process focuses on the area near the wafer edge, an area that poses difficulty for traditional wafer frontside inspection technology due to its varied topography and process variation. Edge bevel inspection looks for defects on the side edge of a wafer. Edge bead removal and edge exclusion metrology involve a topside surface measurement required exclusively in the lithography process, primarily to determine if wafers have been properly aligned for the edge exclusion region. The primary reason for wafer backside inspection is to determine if contamination has been created that may spread throughout the wafer fab. For instance, it is critical that the wafer backside be free of defects prior to the EUV lithography process to prevent focus and exposure problems on the wafer frontside.

The company’s materials characterization products include systems that are used to monitor the physical, optical, and material characteristics of discrete electronic industry, opto-electronic, HB-LED (high brightness LEDs), solar PV (solar photovoltaics), compound semiconductor, strained silicon and silicon-on-insulator (‘SOI’) devices, including composition, crystal structure, layer thickness, dopant concentration, contamination and electron mobility.

The company has a broad portfolio of products for materials characterization, including photoluminescence mapping and Fourier Transform Infrared (‘FTIR’) spectroscope in automated and manual systems for substrate quality and epitaxial thickness metrology. The NanoSpec line supports thin film measurement across all applications in both low volume production and research applications.

Macro Defect Inspection

Chip manufacturers deploy advanced macro defect inspection throughout the production line to monitor key process steps, gather process-enhancing information and ultimately, lower manufacturing costs. Field-established tools such as the F30, NSX, Firefly, and the latest Dragonfly G3 inspection systems are found in the wafer fab (front-end) and packaging (back-end) facilities around the world. These high-speed tools incorporate features, such as wafer-less recipe creation, tool-to-tool correlation and multiple inspection resolutions. Using Discover yield management software, the vast amounts of data gathered through automated inspection can be analyzed and classified to determine trends and locate root causes that directly affect yield.

Automated Defect Classification and Pattern Analysis

Automating the defect detection and classification process is best done by a system that can mimic, or even extend, the response of the human eye, but at a much higher speed, with higher resolution and more consistency. To do this, the company’s systems capture full-color whole wafer images using simultaneous dark and bright field illumination. The resulting bright and dark field images are compared to those from an ‘ideal’ wafer having no defects using the company’s Automated Defect Classification (‘ADC’) software. When a difference is detected, its image is broken down into mathematical vectors that allow rapid and accurate comparison with a library of known classified defects stored in the tool’s database. Patented and proprietary enhancements of this approach enable very fast and highly repeatable image classification. The system is pre-programmed with an extensive library of local, global, and color defects and can also store a virtually unlimited amount of new defect classes. This allows customers to define defects based on their existing defect classification system, provides more reliable automated rework decisions and enables more accurate statistical process control data. Reviewing defects using ADC enables automated inspection systems to maintain their utilization for high throughput inspection. Using defect image files captured by automated inspection systems, operators are able to view high-resolution defect images to determine defects that cause catastrophic failure of a device, known as killer defects. Combining the review process with classifying defects enables faster analysis by grouping defects found together as one larger defect, a scratch for example, and defects of similar types across a wafer lot to be grouped based on size, repeating defects, and other user-defined specifications.

Yield Analysis

Using wafer maps, charts and graphs, the massive amounts of data gathered through automated inspection can be analyzed to determine trends across bumps, die, wafers and lots. This analysis may determine where a process variation or deviation has occurred, allowing process engineers to make corrections or enhancements to increase yields. Defect data analysis is performed to identify, analyze and locate the source of defects and other manufacturing process excursions. Using either a single wafer map or a composite map created from multiple wafer maps, this analysis enables identification of defect patterns and distribution. When combined with inspection data from inspection points placed strategically, this analysis may pinpoint the source of the defects so corrective action can be taken.

Opaque Film Metrology

The MetaPULSE and Echo systems allow customers to simultaneously measure the thickness and other properties of up to six metal or non-metallic opaque film layers without physically contacting product wafers. PULSE technology uses an ultra-fast laser to generate acoustic waves that pass down through a stack of opaque films, such as those used in copper or aluminum interconnect processes, as well as the hard mask layer in 3D NAND chips, sending back to the surface a reflected signal (echo) that indicates film thickness, density, and other process critical parameters. The company is a leader in providing systems that can measure opaque thin-film stacks non-destructively with the speed and accuracy semiconductor device manufacturers demand in order to achieve high yields with the latest fabrication processes. The technology is ideal for characterizing copper interconnect structures. The MetaPULSE and Echo systems, used for fast and accurate measurements of metal interconnect in front-end wafer fabs, have now been chosen by back-end manufacturers to perform system measurements in new process applications, such as RF filters and modules, driven by the need for on-product metrology as feature sizes decrease and pattern densities increase.

Industrial, Scientific, and Research Markets - 4D Technology

The 4D business offers a line of interferometry systems for the measurement and inspection of high precision surfaces. End markets include high precision optics surfaces and components, aerospace and defense components, and unique research and scientific instrumentation that requires the unique high-speed results of the 4D systems.

Advanced Packaging Lithography

The company’s lithography steppers use projection optics to expose circuit patterns from a mask or reticle onto a substrate to expose images with optimal fidelity. These systems employ a bright light that is transmitted through a mask or reticle containing display circuit patterns. Substrates are aligned on the system and the mask is imaged through a projection lens onto photoresist material coated on the substrate. The substrate is then moved, or ‘stepped,’ to a second position to expose an adjacent area. The system repeats the step and exposure process until the entire substrate is patterned. Once the exposure process has been completed, the substrate is developed with an alkali solution to reveal the underlying material. The imaged photoresist serves as a stencil barrier that allows for the processing of the underlying metal or insulating layers. The substrates then continue through the etching, stripping and deposition processes until multi-layer circuits are completed.

In order to deal with increased input/output (‘I/O’) resulting from devices with enhanced functionality, increased power distribution efficiency, and higher frequency, IDMs and outsourced semiconductor assembly and test (‘OSATs’) facilities must incorporate lithography capabilities to create RDLs for their advanced packaging technologies. However, the associated substrates and processes are significantly different than those used in front-end wafer processing. For advanced packaging, the lithography system must perform in a completely different application, with significantly different operating parameters. For example, most packaging is an additive process, while wafer processing is subtractive, and thick films, rather than thin films, are used to enable the creation of features. In order for equipment to effectively function in this environment, it must overcome these challenges. The company’s JetStep systems have been specifically designed to meet these challenges head on. The new JetStep X500 System is designed for rectangular substrates (panels), which when combined with user-selectable wavelength options, maximizes throughput while not limiting resolution when needed. High-fidelity optics are able to image the fine features required while at the same time achieving superior depth of field to minimize non-flatness that is typical for advanced packaging applications. On-the-fly auto focus and an innovative reticle management system improve yield and utilization. These features result in a revolutionary lithography system specifically designed to meet advanced packaging challenges.

Process Control Software

The company provides a wide range of advanced process control solutions, which are designed to improve factory profitability, including run-to-run control, fault detection, classification and tool automation. The company is a leading provider of process control software in the semiconductor industry. Advanced process control (‘APC’) employs software to automatically detect or predict tool failure (fault detection), as well as calculate recipe settings for a process that will drive the yielded output to meet and exceed the target, despite variations in the incoming material and minor instabilities within the process equipment. Process control software enables the factory to increase capacity and yield while decreasing rework and scrap. It enables reduced production costs by lowering consumables, process engineering time and manufacturing cycle time.

Yield Management Software

Semiconductor manufacturers use yield management software (‘YMS’) to obtain valuable process yield and equipment productivity information. The data necessary to generate productivity information comes from many different sources throughout the wafer fab: inspection and metrology systems, tool sensors, tool recipes, electrical tests and the fab environment. As the complexity and cost of manufacturing processes increase, the value of faster, better analysis to support critical manufacturing decisions grows. As a result, customers are demanding robust yield management systems that can analyze large, complex data sets quickly and effectively. The company’s fully integrated YMS is designed to analyze data from disparate sources and multiple sites to maximize productivity across the entire value chain.

Customers

Over 220 customers purchased Onto Innovation tools or software in 2023. The company supports a diverse customer base in terms of both geographic location and type of device manufactured. The company’s customers are located in over 28 countries. For the year ended December 30, 2023, the company’s customers were Samsung Semiconductor, Taiwan Semiconductor Manufacturing Co. Ltd., and SK Hynix Inc.

Sales, Customer Service and Application Support

The company provides local direct sales, service and application support through the company’s worldwide offices located in the United States, South Korea, Japan, Taiwan, China, Vietnam Singapore and Europe; and works with selected dealers and sales representatives on a more limited basis in various countries. The company’s applications team is composed of technically experienced sales engineers who are knowledgeable in the use of metrology systems generally and the unique features and advantages of the company’s specific products. Supported by the company’s technical applications team, the company’s sales and support teams work closely with the company’s customers to offer solutions to complex measurement and process problems.

The company provides system support to its customers through factory technical support and globally deployed field service personnel.

The factory technical support operations provide customers with telephonic technical support access, direct training programs, operating manuals and other technical support information to enable effective use of the company’s metrology and measurement instruments and systems. The company has field service operations based in various locations throughout the United States, South Korea, Taiwan, China, Japan, Vietnam, Malaysia, Singapore, Israel, and Europe.

Competition

In automated systems for the semiconductor industry, the company’s principal competitors are KLA Corporation (‘KLA’) and Nova Ltd. (formerly Nova Measuring Instruments Ltd.) (‘Nova’) for thin film and critical dimension OCD metrology. The company’s principal competitors for advanced packaging inspection are KLA and Camtek Ltd. (‘Camtek’).

While the advanced packaging lithography market is served by various competitors, the company’s primary competitors are Ushio, Inc. (‘Ushio’) and Canon, Inc. (‘Canon’). The company’s primary competitor for inspection in the panel market is GigaVis Co. Ltd. The primary competitor for the company’s software products is PDF Solutions, Inc. (‘PDF Solutions’). The company’s primary competitor for integrated metrology systems for the semiconductor industry is Nova.

Intellectual Property

As of December 30, 2023, the company had been granted, or held exclusive licenses to, 398 U.S. and foreign patents. The patents the company owns, jointly own or exclusively license have expiration dates ranging from 2024 to 2042. The company also has 175 pending patent applications in the United States and other countries.

Compliance with Governmental Regulations

The company is subject to international, federal, state and local regulations that are customary to businesses in the semiconductor capital equipment manufacturing industry. Such regulations include, but are not limited to:

The Restriction of Hazardous Substances Directive (‘RoHS’), which restricts the use of certain hazardous substances in electrical and electronic equipment;

General Data Protection Regulation (‘GDPR’), which provides guidelines for the collection and processing of personal information from individuals who live in the European Union, and similar laws and regulations in other jurisdictions in which the company operates;

The U.S. Foreign Corrupt Practices Act (‘FCPA’), which prohibits companies and their individual officers from influencing foreign officials with any personal payments or rewards;

Conflict minerals reporting, which imposes disclosure requirements regarding the use of ‘conflict’ minerals mined from the Democratic Republic of Congo and adjoining countries in products; and

Export regulations.

Research and Development

For the year ended December 30, 2023, the company’s research and development expenses were $104.4 million.

History

Onto Innovation Inc., formerly known as Rudolph Technologies, Inc., was founded in 1940. The company was incorporated in 2005.

Country
Founded:
1940
IPO Date:
10/28/2019
ISIN Number:
I_US6833441057

Contact Details

Address:
16 Jonspin Road, Wilmington, Massachusetts, 01887, United States
Phone Number
978 253 6200

Key Executives

CEO:
Plisinski, Michael
CFO
Slicer, Mark
COO:
Yaldaei, Ramil